Diseño e implementación de una tarjeta entrenadora para fpga con aplicaciones prácticas para el laboratorio de microelectrónica de la universidad francisco de paula santander

Diseño e implementación de una tarjeta entrenadora para fpga con aplicaciones prácticas para el laboratorio de microelectrónica de la universidad francisco de paula santander

Main Article Content

José Armando Becerra-Vargas
Deifan Argenis Sánchez-Nieto
Alberto Pinto-Arias
Abstract

En este articulo la concepción , diseño y implementación de una tarjeta entrenadora para fpga con aplicaciones practicas para el laboratorio de microelectrónica de la Universidad Francisco de Paula Santander se presenta. La FPGA interfaz de programación es JTAG.

Palabras Clave: Microelectrónica; FPGA; VHDL; Tarjeta entrenadora.

 

Downloads

Download data is not yet available.

Article Details

Author Biographies (SEE)

José Armando Becerra-Vargas, Universidad Francisco de Paula Santander

Director de Departamento de Electricidad & Electrónica

Deifan Argenis Sánchez-Nieto, Universidad Francisco de Paula Santander

Ing. Electrónico

Alberto Pinto-Arias, Universidad Francisco de Paula Santander

Ing. Electrónico
References

Xilinx Inc., http://www.xilinx.com/

MAXINEZ, David G. y otro, VHDL. El arte de programar sistemas digitales. CECSA. Primera edición. México. 2002.

Villar, Eugenio. / López Barrio, C. A. prol. / Burriel Lluna, Rafael prol. / Aldana Mayor, Fernando pol, «VHDL lenguaje estándar de diseño electrónico», Madrid McGraw-Hill D.L. 1997.

CadSoft, http://www.cadsoft.de/

WAKERLY, John. Diseño digital. México: Prentice may, Tercera Edición.

C. E. Torres, R. Nieto, A. Bernal. Diseño e Implementación de una tarjeta PCI para adquisición de datos basada en una FPGA, X Workshop IBERCHIPIWS 2004 Marzo 10-12, Cartagena, Colombia, 2004

Most read articles by the same author(s)

OJS System - Metabiblioteca |